不枉初心,砥砺前行

皮皮祥的博客

欢迎留言,评论

导航

2023年7月14日 #

时序电路中阻塞赋值和非阻塞赋值

摘要: FPGA 非阻塞赋值与阻塞赋值 1.0简介 2.0阻塞赋值&非阻塞赋值 2.1阻塞赋值 2.2非阻塞赋值 2.3区别 3.0编码准则 4.0 举例 准则1)时序电路建模时,用非阻塞赋值; 准则2)锁存器电路建模时,用非阻塞赋值; 准则3)用always块建立组合逻辑模型时,用阻塞赋值; 准则4)在同 阅读全文

posted @ 2023-07-14 15:04 皮皮祥 阅读(145) 评论(0) 推荐(0) 编辑

在时序电路中使用阻塞赋值会怎样

摘要: 阻塞赋值的使用 如之前介绍所述,建议使用阻塞赋值来描述组合逻辑设计。但是,如果在编码时序逻辑行为时使用阻塞赋值,会发生什么?这是需要解决的最重要的问题之一,对后续讨论很重要。 如果阻塞赋值用于编码时序逻辑的行为,则可以观察到综合结果不是正确的功能设计意图。 接下来介绍使用阻塞赋值对时序电路设计进行编 阅读全文

posted @ 2023-07-14 14:49 皮皮祥 阅读(54) 评论(0) 推荐(0) 编辑