Systemverilog deposit

1,SystemVerilog中UVM库提供的一个函数uvm_hdl_deposit的用法 2,UVM及SystemVerilog中的force、deposit及两者的区别

posted on 2024-06-02 16:19  阿长长  阅读(16)  评论(0编辑  收藏  举报