不同格式的仿真波形文件

1,Vcs/Xrun环境中VCD/FSDB/SHM/VPD的Dump方法详解 2,全面介绍各种仿真波形文件格式(vpd/shm/wlf/fsdb/vcd) 3,波形文件(wlf/vcd/fsdb/shm/vpd)的区别,fsdb生成方法

posted on 2024-06-02 18:17  阿长长  阅读(6)  评论(0编辑  收藏  举报