总线合集-video总线

Posted on 2024-04-07 21:56  绿叶落秋风  阅读(15)  评论(0编辑  收藏  举报
模板-V1 模型功能 将视频传输中的数据导入到总线上,方便后续的处理和拓展 模型框图 video_interface.sv `include "../public_files/public_macro.svh" interface video_interface; logic clk; logic