quartus ii快速写入管脚分配方法

1.创建.tcl文件 set_location_assignment PIN_92 -to XD[4] set_location_assignment PIN_47 -to XD[3] set_location_assignment PIN_48 -to XD[2] set_location_ass
posted @ 2023-12-21 09:50  isyf  阅读(58)  评论(0编辑  收藏  举报