Verilog 随机数及概率分布

转载:7.3 Verilog 随机数及概率分布 | 菜鸟教程 (runoob.com) 随机数 Verilog 中使用系统任务 $random(seed) 产生随机数,seed 为随机数种子。 seed 值不同,产生的随机数也不同。如果 seed 相同,产生的随机数也是一样的。 可以为 seed 赋
posted @ 2023-09-18 14:37  burlingame  阅读(85)  评论(0编辑  收藏  举报